Public Member Functions | Public Attributes | Static Public Attributes | List of all members
crl::multisense::details::wire::ImuConfig Class Reference

#include <ImuConfigMessage.h>

Public Member Functions

 ImuConfig (utility::BufferStreamReader &r, VersionType v)
 
 ImuConfig ()
 
template<class Archive >
void serialize (Archive &message, const VersionType version)
 

Public Attributes

std::vector< imu::Configconfigs
 
uint32_t samplesPerMessage
 
uint8_t storeSettingsInFlash
 

Static Public Attributes

static CRL_CONSTEXPR IdType ID = ID_DATA_IMU_CONFIG
 
static CRL_CONSTEXPR VersionType VERSION = 1
 

Detailed Description

Definition at line 74 of file ImuConfigMessage.h.

Constructor & Destructor Documentation

crl::multisense::details::wire::ImuConfig::ImuConfig ( utility::BufferStreamReader r,
VersionType  v 
)
inline

Definition at line 86 of file ImuConfigMessage.h.

crl::multisense::details::wire::ImuConfig::ImuConfig ( )
inline

Definition at line 87 of file ImuConfigMessage.h.

Member Function Documentation

template<class Archive >
void crl::multisense::details::wire::ImuConfig::serialize ( Archive &  message,
const VersionType  version 
)
inline

Definition at line 93 of file ImuConfigMessage.h.

Member Data Documentation

std::vector<imu::Config> crl::multisense::details::wire::ImuConfig::configs

Definition at line 81 of file ImuConfigMessage.h.

CRL_CONSTEXPR IdType crl::multisense::details::wire::ImuConfig::ID = ID_DATA_IMU_CONFIG
static

Definition at line 76 of file ImuConfigMessage.h.

uint32_t crl::multisense::details::wire::ImuConfig::samplesPerMessage

Definition at line 80 of file ImuConfigMessage.h.

uint8_t crl::multisense::details::wire::ImuConfig::storeSettingsInFlash

Definition at line 79 of file ImuConfigMessage.h.

CRL_CONSTEXPR VersionType crl::multisense::details::wire::ImuConfig::VERSION = 1
static

Definition at line 77 of file ImuConfigMessage.h.


The documentation for this class was generated from the following file:


multisense_lib
Author(s):
autogenerated on Sat Apr 6 2019 02:16:47