PublisherBase.py
Go to the documentation of this file.
1 #!/usr/bin/env python
2 # -*- coding: euc-jp -*-
3 
4 
17 
18 import OpenRTM_aist
19 
20 
21 
45  """
46  """
47 
48 
49 
76  def init(self, prop):
77  pass
78 
79  ## virtual ReturnCode setConsumer(InPortConsumer* consumer) = 0;
80  def setConsumer(self, consumer):
81  pass
82 
83  ## virtual ReturnCode setBuffer(BufferBase<cdrMemoryStream>* buffer) = 0;
84  def setBuffer(self, buffer):
85  pass
86 
87  # virtual ReturnCode setListener(ConnectorInfo& info,
88  # ConnectorListeners* listeners) = 0;
89  def setListener(self, info, listeners):
90  pass
91 
92  # virtual ReturnCode write(const cdrMemoryStream& data,
93  # unsigned long sec,
94  # unsigned long usec) = 0;
95  def write(self, data, sec, usec):
96  pass
97 
98  ## virtual bool isActive() = 0;
99  def isActive(self):
100  pass
101 
102  ## virtual ReturnCode activate() = 0;
103  def activate(self):
104  pass
105 
106  ## virtual ReturnCode deactivate() = 0;
107  def deactivate(self):
108  pass
109 
110 
111 
112 
130  def release(self):
131  pass
132 
133 
134 publisherfactory = None
135 
137  def __init__(self):
138  OpenRTM_aist.Factory.__init__(self)
139  pass
140 
141 
142  def __del__(self):
143  pass
144 
145 
146  def instance():
147  global publisherfactory
148 
149  if publisherfactory is None:
150  publisherfactory = PublisherFactory()
151 
152  return publisherfactory
153 
154  instance = staticmethod(instance)
def release(self)
Release the Publisher.
def setBuffer(self, buffer)
virtual ReturnCode setBuffer(BufferBase<cdrMemoryStream>* buffer) = 0;
def init(self, prop)
Initializing configuration.
def write(self, data, sec, usec)
def activate(self)
virtual ReturnCode activate() = 0;
def setConsumer(self, consumer)
virtual ReturnCode setConsumer(InPortConsumer* consumer) = 0;
def deactivate(self)
virtual ReturnCode deactivate() = 0;
def setListener(self, info, listeners)
def isActive(self)
virtual bool isActive() = 0;


openrtm_aist_python
Author(s): Shinji Kurihara
autogenerated on Thu Jun 6 2019 19:11:34