init_vector.hh
Go to the documentation of this file.
00001 //=====================================================
00002 // File   :  init_vector.hh
00003 // Author :  L. Plagne <laurent.plagne@edf.fr)>
00004 // Copyright (C) EDF R&D,  lun sep 30 14:23:18 CEST 2002
00005 //=====================================================
00006 //
00007 // This program is free software; you can redistribute it and/or
00008 // modify it under the terms of the GNU General Public License
00009 // as published by the Free Software Foundation; either version 2
00010 // of the License, or (at your option) any later version.
00011 //
00012 // This program is distributed in the hope that it will be useful,
00013 // but WITHOUT ANY WARRANTY; without even the implied warranty of
00014 // MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
00015 // GNU General Public License for more details.
00016 // You should have received a copy of the GNU General Public License
00017 // along with this program; if not, write to the Free Software
00018 // Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.
00019 //
00020 #ifndef INIT_VECTOR_HH
00021 #define INIT_VECTOR_HH
00022 
00023 // The Vector class must satisfy the following part of STL vector concept :
00024 //            resize() method
00025 //            [] operator for setting element
00026 //            value_type defined
00027 template<double init_function(int), class Vector>
00028 void init_vector(Vector & X, int size){
00029 
00030   X.resize(size);
00031 
00032   for (int i=0;i<X.size();i++){
00033     X[i]=typename Vector::value_type(init_function(i));
00034   }
00035 }
00036 
00037 #endif


re_vision
Author(s): Dorian Galvez-Lopez
autogenerated on Sun Jan 5 2014 11:31:25